High Speed

A design study of a 0.25-μm video signal processor

Signal Processing / VLSI / Video Compression / Modules / Very Large Scale Integration / Network Design / Process Design / PMOs / VLIW / Circuit simulation / High performance / Cycle Time / High Speed / Sram / Area / Electrical And Electronic Engineering / Network Design / Process Design / PMOs / VLIW / Circuit simulation / High performance / Cycle Time / High Speed / Sram / Area / Electrical And Electronic Engineering

A reconfigurable digital signal processing system for eddy currents non-destructive testing

Digital Signal Processing / Non Destructive Testing / Field Programmable Gate Array / Eddy Current / High Speed / Universal Serial Bus / Digital to Analog Converter / Universal Serial Bus / Digital to Analog Converter

Towards a Queue Sensitilve Transport Protocol

Congestion Control / Experimental Evaluation / High Speed / Real Time Application / Performance Computing / Round Trip Time / TCP Vegas / Round Trip Time / TCP Vegas

Luminescence properties and optical dephasing in a glass-ceramic containing sodium-niobate nanocrystals

Engineering / Applied Physics / Mathematical Sciences / Silica / Physical sciences / Four Wave Mixing / High Speed / Room Temperature / Low Temperature / Four Wave Mixing / High Speed / Room Temperature / Low Temperature

Optical Signal Processor Using Electro-Optic Polymer Waveguides

Electrical Circuit Theory / Optical physics / High Speed / Optical Modulator / Digital Signal Processor / Planar Lightwave Circuit / Electro-optic modulators / Optical Filters / High Efficiency / Electrical And Electronic Engineering / Thermal Effects / Ring Resonator / Arbitrary Waveform Generator / Planar Lightwave Circuit / Electro-optic modulators / Optical Filters / High Efficiency / Electrical And Electronic Engineering / Thermal Effects / Ring Resonator / Arbitrary Waveform Generator

A high speed fully digital data acquisition system for Positron Emission Tomography

Positron Emission Tomography / Data acquisition / Time of Flight / Analog Signal Processing / Field Programmable Gate Array / High Speed / System performance / High Spatial Resolution / Data Acquisition System / High Speed / System performance / High Spatial Resolution / Data Acquisition System

GigaManP2P - Uma Infra-estrutura Peer-to-Peer para Gerenciamento de Redes Ópticas

Quality of Service / Optical network / Peer to Peer / High Speed / Long Distance

Cluster analysis for multivariable process control

Process Control / Multivariate Analysis / Cluster Analysis / High Speed / Field Data

UnISIS: Laser Guide Star and Natural Guide Star Adaptive Optics System

Adaptive Optics / Adaptive Systems / High Speed / Pulse Rate / Rayleigh Scattering

A novel neural network traffic enforcement mechanism for ATM networks

Distributed Computing / Neural Networks / Neural Network / Probability / Sampling methods / Quality of Service / ATM networks / Real Time / asynchronous Transfer Mode / High Speed / Backpropagation / Probability Density Function / Electrical And Electronic Engineering / Counting Process / Quality of Service / ATM networks / Real Time / asynchronous Transfer Mode / High Speed / Backpropagation / Probability Density Function / Electrical And Electronic Engineering / Counting Process

A 45nm SOI-CMOS dual-PLL processor clock system for multi-protocol I/O

Digital Communication / Protocols / Silicon on Insulator / Jitter / High Speed / Solid State Devices and Circuits / Phase Locked Loops / Solid State Devices and Circuits / Phase Locked Loops

A high speed serial bus controller ASIC

Low Frequency / Liquid State Machine / Data transfer / High Speed / Design for Testability / Fault Coverage

A Low Power 2.5 Gbps 1:32 Deserializer in SiGe BiCMOS Technology

Power Consumption / Low Power / Low Power Electronics / High Speed / Network Topology / On-Chip Clock Distribution Networks / Tree Structure / DT Signal+generators / Demultiplexer / On-Chip Clock Distribution Networks / Tree Structure / DT Signal+generators / Demultiplexer

A 5-20 GHz, low power FPGA implemented by SiGe HBT BiCMOS technology

FPGA / Power Consumption / Low Power / Single Cell / High Speed / STATIC & DYNAMIC ROUTING

High-Speed Board-Level Polymer Optical Sub-Systems

Electrical Engineering / Electronic Engineering / Polymer Engineering / Optical Engineering / Optics / Optical Fiber Communications / Fiber Optics / Polymer Chemistry / Polymer science / Electronics / Optical Communication / Polymers / Cloud Computing / Optical Waveguides / Silicon Photonics / Integrated Optics / Dispersion / RF/Microwaves, Integrated Optics, MRI systems / Plastic optical fiber / Optical Interconnects / Optical interconnects for high performance computing / Optical Properties / polymer science and Engineering / Optical physics / Electro-optics / High Speed / High Performance Computing (HPC) / Optical fiber / Optical Network, Optical interconnected Data Center Network / Bandwidth / Optical Fiber Communications / Fiber Optics / Polymer Chemistry / Polymer science / Electronics / Optical Communication / Polymers / Cloud Computing / Optical Waveguides / Silicon Photonics / Integrated Optics / Dispersion / RF/Microwaves, Integrated Optics, MRI systems / Plastic optical fiber / Optical Interconnects / Optical interconnects for high performance computing / Optical Properties / polymer science and Engineering / Optical physics / Electro-optics / High Speed / High Performance Computing (HPC) / Optical fiber / Optical Network, Optical interconnected Data Center Network / Bandwidth
Copyright © 2017 DADOSPDF Inc.