Lithographically defined low dimensional SiGe nanostripes as silicon stressors

July 14, 2017 | Autor: Monica Bollani | Categoria: Engineering, Applied Physics, Mathematical Sciences, Physical sciences
Share Embed


Descrição do Produto

Lithographically defined low dimensional SiGe nanostripes as silicon stressors M. Bollani, D. Chrastina, M. Fiocco, V. Mondiali, J. Frigerio et al. Citation: J. Appl. Phys. 112, 094318 (2012); doi: 10.1063/1.4765009 View online: http://dx.doi.org/10.1063/1.4765009 View Table of Contents: http://jap.aip.org/resource/1/JAPIAU/v112/i9 Published by the AIP Publishing LLC.

Additional information on J. Appl. Phys. Journal Homepage: http://jap.aip.org/ Journal Information: http://jap.aip.org/about/about_the_journal Top downloads: http://jap.aip.org/features/most_downloaded Information for Authors: http://jap.aip.org/authors

Downloaded 06 Oct 2013 to 202.116.1.149. This article is copyrighted as indicated in the abstract. Reuse of AIP content is subject to the terms at: http://jap.aip.org/about/rights_and_permissions

JOURNAL OF APPLIED PHYSICS 112, 094318 (2012)

Lithographically defined low dimensional SiGe nanostripes as silicon stressors M. Bollani,1,a) D. Chrastina,2 M. Fiocco,3 V. Mondiali,2 J. Frigerio,2 L. Gagliano,3 and E. Bonera3 1

IFN-CNR, L-NESS, via Anzani 42, 22100 Como, Italy L-NESS, Dipartimento di Fisica, Politecnico di Milano, Polo di Como, via Anzani 42, 22100 Como, Italy 3 Dipartimento di Scienza dei Materiali and L-NESS, Universit a di Milano-Bicocca, via Cozzi 53, 20125 Milano, Italy 2

(Received 15 September 2012; accepted 12 October 2012; published online 8 November 2012) The introduction of strain in semiconductors is a well-known technique for increasing their conductivity and thus for enhancing the performance of silicon-based electronic devices. In the present work, we investigate the strain induced in the Si substrate by linear SiGe/Si structures with a width less than 100 nm. By varying the Ge content and geometrical parameters, it is possible to maximize the strain in the Si substrate without detrimental plastic relaxation in the SiGe stripes. The structures were defined by electron-beam lithography from strained SiGe deposited epitaxially by low-energy plasma-enhanced chemical vapor deposition. The strain in the heterostructures has been characterized by a combination of finite-element modeling, x-ray diffraction, and lRaman spectroscopy techniques. We show that nano-patterning induces an anisotropic strain relaxation in C 2012 American the SiGe stripe with a simultaneous strong compression of the Si substrate. V Institute of Physics. [http://dx.doi.org/10.1063/1.4765009]

I. INTRODUCTION

The introduction of SiGe heterostructures into mainstream Si technology has been identified as a possible solution to overcome the physical limitations of Si by opening new degrees of freedom via band structure engineering.1,2 Uniaxially strained silicon can be employed in high-speed microprocessing applications, since it allows for a greater increase in conductivity for lower levels of applied strain with respect to biaxial strain.3,4 This provides a strong enhancement of charge carrier conductivity, which is sought by the electronics industry to improve device performance. Investigation of the strain relaxation as induced by the patterning of a continuous SiGe layer is thus of scientific and technological importance.5,6 In this work, it is shown that, starting from a strained SiGe alloy grown on a Si substrate, it is possible to change the Si relaxation as a function of the patterning geometry. We demonstrate an innovative method to obtain and to control uniaxially compressed silicon as a function of the ratio between the width and spacing between the lithographically defined SiGe stripes. By performing lRaman spectroscopy, combined with finite element method (FEM) modeling, we have investigated the strain at the surface of the Si substrate in the patterned area. II. EXPERIMENTAL

Si0.6Ge0.4 and Si0.5Ge0.5 strained layers were epitaxially deposited by low-energy plasma-enhanced chemical vapor deposition (LEPECVD) on fluoridric acid (HF)-dipped Si(001) substrates. The temperature for all depositions was 500  C, with a growth rate of 0.1 nm/s. The Ge content and a)

e-mail: [email protected].

0021-8979/2012/112(9)/094318/4/$30.00

strain in the layers were determined by high resolution x-ray diffraction (XRD) measurements, and the surface morphology was characterized by atomic force microscopy (AFM). The Ge content and layer thickness (130 and 30 nm for the Si0.6Ge0.4 and Si0.5Ge0.5 layers, respectively) was chosen to maximize the strain in the Si substrate without inducing plastic relaxation. A reference system where x, y, and z are directed towards [110], ½110, and [001], respectively, was used, with the Si0.6Ge0.4 and Si0.5Ge0.5 layers patterned into stripes along the y direction by electron beam lithography (EBL) and dry reactive ion etching (RIE). The stripes were 100 lm long. Periodic stripe patterns with stripe width (W) ranging from 60 to 250 nm and gaps (G) between the stripes from 80 to 200 nm we realized. lRaman was performed at an excitation of 3.4 eV (close to the Si resonance) to characterize the strain state of the Si substrate surface in the gaps between the stripes. The spot diameter was less than 1 lm, and at this photon energy the lRaman signal comes from the region within 5 nm of the Si surface. Two-dimensional FEM modeling7 of SiGe/Si stripes was used to map the strain and quantify the results of lRaman spectroscopy. III. RESULTS AND DISCUSSION

After LEPECVD growth, the alloy composition and strain state was obtained from the lattice parameters (a? and ak for the out-of-plane and in-plane directions, respectively) measured by XRD reciprocal space mapping (Fig. 1) around the (004) and (224) Bragg peaks: for the nominally Si0.6Ge0.4 layer we found a Ge content of 37.9% and an inplane strain ek of 1.45%; for the nominally Si0.5Ge0.5 layer we found a Ge content of 47.6% and an in-plane strain ek of 1.82%. In both cases, the degree of relaxation (ak-aSi)/ (aSiGe(x)-aSi) is less than 1%.

112, 094318-1

C 2012 American Institute of Physics V

Downloaded 06 Oct 2013 to 202.116.1.149. This article is copyrighted as indicated in the abstract. Reuse of AIP content is subject to the terms at: http://jap.aip.org/about/rights_and_permissions

094318-2

Bollani et al.

J. Appl. Phys. 112, 094318 (2012)

FIG. 2. (a) Typical SEM image in planar view of the Si0.6Ge0.4/Si patterned stripes; the darker grey corresponds to the Si surface. (b) 3-dimensional AFM image of Si0.5Ge0.5/Si patterned stripes where the alloy thickness is about 30 nm and the w/g ratio is 1.82.

FIG. 1. Reciprocal space maps about the (224) Bragg peak. The Si substrate peak is visible at q? ¼ 4/aSi ¼ 7.37 nm1, qk ¼ 2冑2/aSi ¼ 5.21 nm1. In both cases, the SiGe peak is found at almost exactly the same qk value, vertically below the Si peak in reciprocal space, indicating lattice matching between the alloy and the substrate. (a) Ge content x ¼ 37.9%, e? ¼ 1.10%, and ek ¼ 1.45%. (b) Ge content x ¼ 47.6%, e? ¼ 1.38%, and ek ¼ 1.82%. While the SiGe peak in (a) remains extremely sharp in the qk direction, the SiGe peak in (b) presents some diffuse scattering, possibly due to the presence of defects at the SiGe/Si interface which are not however numerous enough to cause significant relaxation. (004) maps were also obtained in order to account for tilting between the SiGe and Si layers.

Following EBL and RIE, and the stripes were characterized by AFM and scanning electron microscopy (SEM). Different patterns were designed, with different stripe and gap widths. Fig. 2(a) shows a typical SEM image of the SiGe nanostructures obtained after RIE. The depth of SiGe stripes has been determined by AFM as shown in Fig. 2(b). For the FEM models, the elastic constants of SiGe were found by linear interpolation of the c11 and c12 values of pure Si and pure Ge.8 The misfit f of a Si1xGex/Si layer is given by f ¼

aSi  1; aSiGe ðxÞ

(1)

in which aSiGe(x) is the unstrained Si1xGex lattice constant.9 In unpatterned regions, the strain in the SiGe is biaxial so that

e? ¼ 2

c12 ejj ; c11

(2)

and in the case of full strain, the in-plane strain exx ¼ eyy ¼ ek ¼ f as confirmed by XRD. For the patterned zone, the in-plane strain perpendicular to the stripe axis is free to partially relax elastically, and this shifts the strain towards a uniaxial character. A typical FEM model of the SiGe stripe is shown in Fig. 3(a), where the strain element exx calculated from an isotropic, 2-dimensional model of Si0.6Ge0.4/Si is given. We have performed a two dimensional FEM of the change in the strain state of different rectangular structures with different compositions and widths w and gaps g similar to experimental SiGe nanostructures. Under the same isotropic approximation, by FEM simulation, the strain elements can be transformed into Raman shifts, and the expected lRaman result can be found averaging the surface of the structures down to a penetration depth of 5 nm. In Fig. 3(b), we show how the strain element exx increases as a function of the w/g ratio for both SiGe alloys. For this thickness range, this result indicates that the w/g ratio is the fundamental parameter for the control of the strain in the Si surface. The height of the stripe is less important since the strain in Si is influenced only by the first few nm of SiGe stressor. Table I summarizes the patterned SiGe structures examined in this work and indicates the calculated level of strain in the gaps between stripes obtained by nanofabrication.

Downloaded 06 Oct 2013 to 202.116.1.149. This article is copyrighted as indicated in the abstract. Reuse of AIP content is subject to the terms at: http://jap.aip.org/about/rights_and_permissions

094318-3

Bollani et al.

J. Appl. Phys. 112, 094318 (2012) TABLE I. A summary of the patterned SiGe structures examined in this work, indicating the calculated level of strain in the gaps between stripes, and the expected shift of the Si-Si Raman mode. The width of the stripes and the gaps between them have been obtained by AFM measurements while the strain elements (exx and ezz) have been calculated by averaging the strain within 5 nm of the surface of the isotropic, 2-dimensional FEM models of Si0.62Ge0.38/Si and Si0.52Ge0.48/Si alloys as shown in Fig. 3. The inplane strain element (exx) increases as a function of the w/g ratio for both SiGe alloys. The Si-Si Raman shift has been calculated from the simulated strain elements according to Eq. (3). XRD

Geometry width (w) (nm)

gap (g) (nm)

w/g

exx (%)

ezz (%)

xSi-Si (cm1)

47.60

175 159 155 125 105 100 65 80

65 81 85 75 75 100 95 120

2.69 1.96 1.82 1.67 1.40 1.00 0.68 0.66

0.98 0.78 0.74 0.67 0.57 0.43 0.28 0.29

0.28 0.22 0.21 0.17 0.14 0.11 0.07 0.08

525.0 524.2 523.9 523.7 523.3 522.6 522.0 522.0

37.90

100 100 75 56 34

100 105 129 132 129

1.00 0.95 0.58 0.42 0.26

0.35 0.35 0.36 0.34 0.30

0.10 0.10 0.10 0.09 0.06

522.2 522.2 521.6 521.4 521.1

Ge content (%)

We can check the validity of our models with a direct comparison of FEM and lRaman results.10,11 The scattering geometry and selection rules mean that only the LO mode perpendicular to the surface is excited. The geometry of the system imposes that exz ¼ eyz ¼ 0. Furthermore, the frequency of this mode is not changed under rotation from the [100]-[010]-[001] to the [110]-½110-[001] reference system.12 This allows the frequency variation Dx of the LO phonon mode under strain to be expressed as   1 K12 K11 ðexx þ eyy Þ þ ezz ; Dx ¼ (3) 2 2x0 2

surface of the stripes, and the surface of the substrate in between the stripes, assuming a sampling depth of 5 nm in each case. The deformation potentials K can be retrieved from Refs. 13 and 14. For 0.40 < x < 0.50, the values reported are similar within 10%. The unstrained mode frequencies x0(x) were obtained from experimental measurements.15 We performed this analysis on a few selected samples (see, for example, Fig. 4), and there is a qualitative agreement between experimental results and modeling, with increasing w/g leading to increased wavenumber of the Si-Si

1.0

amplitude (arb. units)

FIG. 3. (a) FEM model of Si0.6Ge0.4/Si stripes, from which exx has been extracted. In this simulation, the stripe width w is 180 nm, the gap g is 70 nm, the stripe length is 100 nm, and the stripe thickness is 50 nm. The x, y, and z are oriented along [110], ½ 110, and [001], respectively. The transfer of elastic energy stored in SiGe alloy can be measured by observing the strain state in Si. This can be measured by a laser of 3.4 eV excitation to enhance the signal from the Si trench floor in between the stripes. The high amount of strain can be observed from the in-plane compression. (b) Calculated level of uniaxial strain (exx) present gaps between stripes. The two curves show the similar trends, even if the two SiGe alloys have different compositions and thicknesses (30 nm for Si0.5Ge0.5 and 130 nm for Si0.6Ge0.4): this indicates that to increase the strain at the Si surface the w/g ratio is important, not the height.

reference w/g = 0.68 w/g = 1.67

0.8

0.6

0.4

0.2

0.0 510

where x0 refers to the unstrained mode frequency, and the K values are phonon deformation potentials. In the Si substrate only, the Si-Si mode is available. Equation (3) can be used to predict the Raman spectroscopy results from the strain maps obtained by FEM. The strain values are averaged over the

FEM

515

520

525

530

Raman shift [ cm^-1 ] FIG. 4. Raman spectra of the Si-Si mode obtained with excitation at 3.4 eV. The graph refers to samples with x ¼ 47.6%. The trend is qualitatively consistent with the FEM simulation, with increasing w/g causing the mode to move to slightly higher wavenumber.

Downloaded 06 Oct 2013 to 202.116.1.149. This article is copyrighted as indicated in the abstract. Reuse of AIP content is subject to the terms at: http://jap.aip.org/about/rights_and_permissions

094318-4

Bollani et al.

mode, reproducing the trend shown in Fig. 3 and Table I. For example, measurements of a geometry with w/g ¼ 1.67 find the Si-Si mode at 522.0 cm1, as compared to the Si reference of 520.5 cm1. While the predicted Si-Si mode shift for this geometry is somewhat larger (523.7 cm1), the prediction is based on an idealized geometry in which the stripe etching process stops perfectly at the SiGe/Si interface and the corners of the SiGe stripe are perfectly sharp. Imperfections in both of these parameters would serve to reduce the induced strain in the Si substrate as compared to the ideal case. Furthermore, since the stiffness constants are lower in Ge than in Si8 this would also slightly reduce the strain which a SiGe stripe can induce in a Si substrate as compared to the FEM model which assumes homogenous material properties. IV. CONCLUSION

In conclusion, we found that nano-patterning is able to induce an anisotropic strain relaxation, which leads to a conversion of the strain state from biaxial towards a uniaxial character. The results are consistent with elastic deformation of the crystal lattice without involving plastic relaxation induced by misfit dislocations. We demonstrate the capability of modulating the compression in silicon as a function of the w/g ratio of the lithographed SiGe stripes, for a further development of high speed electronic devices.

J. Appl. Phys. 112, 094318 (2012)

ACKNOWLEDGMENTS

Fondazione Cariplo is gratefully acknowledged for financing the DefCon4 project, Grant No. 2011-0331. 1

S. E. Thompson, S. Suthram, Y. Sun, G. Sun, S. Parthasarathy, M. Chu, and T. Nishida, Tech. Dig. -Int. Electron Devices Meet. 2006, 1–4. 2 M. Chu, Y. Sun, U. Aghoram, and S. E. Thompson, Annu. Rev. Mater. Res. 39, 203 (2009). 3 S. E. Thompson, G. Sun, K. Wu, J. Lim, and T. Nishida, Tech. Dig. -Int. Electron Devices Meet 2004, 221–224. 4 F. Chen, C. Euaruksakul, Z. Liu, F. J. Himpsel, F. Liu, and M. G. Lagally, J. Phys. D: Appl. Phys. 44, 325107 (2011). 5 O. Moutanabbir, M. Reiche, A. H€ahnel, W. Erfurth, M. Motohashi, A. Tarun, N. Hayazawa, and S. Kawata, Appl. Phys. Lett. 96, 233105 (2010). 6 D. Chrastina, G. M. Vanacore, M. Bollani, P. Boye, S. Sch€ oder, M. Burghammer, R. Sordan, G. Isella, M. Zani, and A. Tagliaferri, Nanotechnology 23, 155702 (2012). 7 H. Jasak and H. G. Weller, Int. J. Numer. Methods Eng. 48, 267 (2000). 8 J. J. Wortman and R. A. Evans, J. Appl. Phys. 36, 153 (1965). 9 J. P. Dismukes, L. Ekstrom, and R. J. Paff, J. Phys. Chem. 68, 3021 (1964). 10 E. Bonera, M. Fanciulli, and G. Carnevale, J. Appl. Phys. 100, 033516 (2006). 11 E. Bonera, F. Pezzoli, A. Picco, G. Vastola, M. Stoffel, E. Grilli, M. Guzzi, A. Rastelli, O. G. Schmidt, and L. Miglio, Phys. Rev. B 79, 075321 (2009). 12 E. Anastassakis, J. Appl. Phys. 81, 3046 (1997). 13 M. Z. Hossain and H. T. Johnson, J. Appl. Phys. 107, 073515 (2010). 14 J. S. Reparaz, A. Bernardi, A. R. Go~ ni, M. I. Alonso, and M. Garriga, Appl. Phys. Lett. 92, 081909 (2008). 15 F. Pezzoli, E. Bonera, E. Grilli, M. Guzzi, S. Sanguinetti, D. Chrastina, G. Isella, H. von K€anel, E. Wintersberger, J. Stangl, and G. Bauer, Mater. Sci. Semicond. Process. 11, 279 (2008).

Downloaded 06 Oct 2013 to 202.116.1.149. This article is copyrighted as indicated in the abstract. Reuse of AIP content is subject to the terms at: http://jap.aip.org/about/rights_and_permissions

Lihat lebih banyak...

Comentários

Copyright © 2017 DADOSPDF Inc.