SISTEMA DIGITAL RECEPTOR DE COMUNICACIÓN SERIAL

Share Embed


Descrição do Produto

011000010111001101100001011011100111101001100001

01101010011001010110000101101110

EJERCICIOS SISTEMAS DIGITALES II DISEÑO DE UN SISTEMA DIGITAL RECEPTOR DE COMUNICACIÓN SERIAL Ejercicios Sistemas Digitales II

vasanza

1

011000010111001101100001011011100111101001100001

01101010011001010110000101101110

Se dispone de un transmisor Tx y varios receptores Rx como se muestra en la figura.

El transmisor utiliza un protocolo de comunicacion que esta determinado por la siguiente trama como se muestra en el ejemplo:

Ejercicios Sistemas Digitales II

vasanza

2

011000010111001101100001011011100111101001100001

Ejercicios Sistemas Digitales II

vasanza

01101010011001010110000101101110

Se debera disenar el sistema digital de un receptor Rx que sea capaz de leer la trama enviada por el transmisor y que sepa que la informacion enviada es para ese receptor en particular mediante el ID enviada en la trama.

3

011000010111001101100001011011100111101001100001

01101010011001010110000101101110

De manera general podemos resolver el problema asi: 1.- Si Ld ID = 1, genera ID Rx (0X00) 2.- Pregunto por start SI NO 3.- Si start es verdadero, IF SINC=1, BYTE PQ => REG START SI COMP 0X2 4.- SINC = 1 BYTE PQ – >REG SOST.

COMP 0X ID Rx 5.-

6 GENERAR CHK 7 CREA PROPIO CHK Y COMPARA IF =?

si

=> ok

NO Ejercicios Sistemas Digitales II

vasanza

4

011000010111001101100001011011100111101001100001

01101010011001010110000101101110

Ejercicios Sistemas Digitales II

vasanza

5

011000010111001101100001011011100111101001100001

01101010011001010110000101101110

Ejercicios Sistemas Digitales II

vasanza

6

011000010111001101100001011011100111101001100001

01101010011001010110000101101110

Ejercicios Sistemas Digitales II

vasanza

7

011000010111001101100001011011100111101001100001

01101010011001010110000101101110

Ejercicios Sistemas Digitales II

vasanza

8

011000010111001101100001011011100111101001100001 resetn

Ta

01101010011001010110000101101110

Enc, RM, SCH, En, RCH

ENIS 0

Start

Tb

0

Load

1

1

Mart Tc Pnc, Mod, Set

Ejercicios Sistemas Digitales II

vasanza

9

011000010111001101100001011011100111101001100001

01101010011001010110000101101110

Ejercicios Sistemas Digitales II

vasanza

10

011000010111001101100001011011100111101001100001 1 En, Ec, Rm

En

01101010011001010110000101101110

Th

1 I 63

Ti 0

Sel 1, Sel 0

En RCH

Encont

1

Zg

Tk 0 Td

Ejercicios Sistemas Digitales II

Sou, ok

Ta vasanza

11

011000010111001101100001011011100111101001100001

entity receptor is PORT (clock, nReset, Start,: in std_logic;sout, sinc, load, ig, ig3, snrch: in std_logic; enid, en, enc, ok, ru1, modo, ld, sel0, sel1, enrch, sch: out std_logic; end receptor; architecture sol of receptor is begin type estado is:(Ta, Tb, Tc, Td, Te, Tf, Tg, Th, Ti, Tj, Tk); signal y: estado; process (nReset,clock) begin if (nReset='0') then y when y=Th => en='1'; enc='1'; ru1='1' or if ig3='0' then enrch='1'; end if; when y=Ti =>; when y=Tj => sel1='1'; sel0='1' or if ig='1' then sout='1'; ok='1'; end if end case; end process;

Lihat lebih banyak...

Comentários

Copyright © 2017 DADOSPDF Inc.