Low Power

Estimation of vehicle speed based on asynchronous data from a silicon retina optical sensor

Temporal Resolution / High Dynamic Range / Optical Sensor / Standard Deviation / Low Power / Low Power Consumption / Traffic Monitoring / Low Latency / Image Sensor / Low Power Consumption / Traffic Monitoring / Low Latency / Image Sensor

System-level power-performance tradeoffs for reconfigurable computing

Computer Architecture / Distributed Computing / Reconfigurable Computing / Computer Hardware / Hardware / Case Study / Design Methodology / Low Power / Mobile phone / System on a Chip / Embedded Computing / Embedded System / Electrical And Electronic Engineering / Dynamic Reconfiguration / Data Partitioning / Application Software / Case Study / Design Methodology / Low Power / Mobile phone / System on a Chip / Embedded Computing / Embedded System / Electrical And Electronic Engineering / Dynamic Reconfiguration / Data Partitioning / Application Software

256×256 CMOS active pixel sensor camera-on-a-chip

Digital Control / Sensor Arrays / Quantum Efficiency / Detectors / Sensor Integration / Low Power / Power Supply / Chip / Cmos Image Sensor / Solid State Devices and Circuits / Random Access / System performance / Performance Indicator / Electrical And Electronic Engineering / Image Sensors / Pixel / Low Power / Power Supply / Chip / Cmos Image Sensor / Solid State Devices and Circuits / Random Access / System performance / Performance Indicator / Electrical And Electronic Engineering / Image Sensors / Pixel

Nanostructured oxides on porous silicon microhotplates for NH3 sensing

Microelectronic / Porous Silicon / Gas Sensor / Low Power / Operant Conditioning / Electrical And Electronic Engineering

RDSP: A RISC DSP based on residue number system

Residue Number System / Digital System Design / Power Consumption / Low Power / Digital Signal Processor

Low-power CMOS at Vdd=4kT/q

Microelectronics / Feedback / Device / Low Power / Low Power Electronics / Circuits / Voltage / Parasitic Capacitance / Circuits / Voltage / Parasitic Capacitance

RF potential of a 0.18-μm CMOS logic device technology

Radio Frequency / Oscillations / Low Power / 1/f Noise / Electron Devices / Power Amplifier / Noise Figure / Electrical And Electronic Engineering / Power Amplifier / Noise Figure / Electrical And Electronic Engineering

Multi-physics damage sensing in nano-engineered structural composites

Carbon Nanotube / Nanotechnology / Multidisciplinary / Damage Assessment / Wind turbine / Low Power / Real Time / Spatial resolution / Non Destructive Evaluation / Electric Conductivity / Low Power / Real Time / Spatial resolution / Non Destructive Evaluation / Electric Conductivity

Low power FPAA design based on OTA using 90nm CMOS technology

Comparative Economics / Operational Transconductance Amplifier / Low Power / Energy Aware / Field Programmable Analog Array / Band Pass Filter / Continuous Time Signals / Vhgvvjbbm / Band Pass Filter / Continuous Time Signals / Vhgvvjbbm

A Parametrizable Low-Power High-Throughput Turbo-Decoder

Computer Architecture / High Performance Computing / Design Space Exploration / Low Power / Throughput / Low Power Electronics / Circuits / High performance / Very high throughput / Logic Design / Turbo Codes / Low Power Electronics / Circuits / High performance / Very high throughput / Logic Design / Turbo Codes

New SRAM design using body bias technique for ultra low power applications

Nanoelectronics / Low Power / Low Power Electronics / Sram / Application Software / Low voltage / Ultra Low Power / Static Noise Margin / Integrated Circuit Design / Threshold Voltage / Low voltage / Ultra Low Power / Static Noise Margin / Integrated Circuit Design / Threshold Voltage

A 32b 66 MHz 1.8 W microprocessor

Low Power / High performance / Solid State Devices and Circuits / Test Coverage

Analysis of single-phase natural circulation experiments by system codes

Mechanical Engineering / Applied Mathematics / Oscillations / Low Power / Thermal Sciences / Steady state / Interdisciplinary Engineering / Experimental Data / Steady state / Interdisciplinary Engineering / Experimental Data

A Low Power 2.5 Gbps 1:32 Deserializer in SiGe BiCMOS Technology

Power Consumption / Low Power / Low Power Electronics / High Speed / Network Topology / On-Chip Clock Distribution Networks / Tree Structure / DT Signal+generators / Demultiplexer / On-Chip Clock Distribution Networks / Tree Structure / DT Signal+generators / Demultiplexer

A 5-20 GHz, low power FPGA implemented by SiGe HBT BiCMOS technology

FPGA / Power Consumption / Low Power / Single Cell / High Speed / STATIC & DYNAMIC ROUTING

Cintia: a neuro-fuzzy real-time controller for low-power embedded systems

Computer Hardware / Control system / Low Power / Real Time / Neural Networks and Neuro-Fuzzy Syetems / Finite State Automata / Neuro Fuzzy / Embedded System / Electrical And Electronic Engineering / Finite State Automata / Neuro Fuzzy / Embedded System / Electrical And Electronic Engineering
Copyright © 2017 DADOSPDF Inc.